当前位置: 首页 > news >正文

贵阳网站开发价格滑县网站建设策划

贵阳网站开发价格,滑县网站建设策划,wordpress悬浮目录,淘宝不能发布网站源码做商品牛客网Verilog刷题——VL55 题目答案 题目 请用Verilog实现4位约翰逊计数器(扭环形计数器),计数器的循环状态如下:   电路的接口如下图所示: 输入输出描述: 信号类型输入/输出位宽描述clkwireInput1系统…

牛客网Verilog刷题——VL55

  • 题目
  • 答案

题目

  请用Verilog实现4位约翰逊计数器(扭环形计数器),计数器的循环状态如下:
在这里插入图片描述
  电路的接口如下图所示:

在这里插入图片描述
  输入输出描述:

信号类型输入/输出位宽描述
clkwireInput1系统时钟信号
rst_nwireInput1异步复位信号,低电平有效
QregOutput4输出约翰逊计数器

答案

`timescale 1ns/1nsmodule JC_counter(input                clk ,input                rst_n,output reg [3:0]     Q  
);always @(posedge clk or negedge rst_n) beginif(!rst_n)Q <= 4'd0;elseQ <= {~Q[0],Q[3:1]};
endendmodule
http://www.sczhlp.com/news/138907/

相关文章:

  • 文档下载免费网站百度互联网营销是什么
  • 常州网站建设平台五大建设内容
  • 自己建网站需要备案吗wordpress主题更新提醒
  • SI3933低频唤醒接收芯片完整指南:结构框图、PCB布局与选型要点芯片概述与主要特性
  • 在本地服务器创建RAID5磁盘阵列和RAID10磁盘阵列
  • RAGAS大模型评估框架
  • 服务器密码错误被锁定如何解决?
  • 螺纹偏弱
  • 长春专业企业网站建设价格淘宝wordpress cms主题
  • 深圳手机网站制作js网站页面效果
  • 内网网站建设方面政策济南营销型网站建设团队
  • 电商网站开发文档注册资金
  • 珠海精品网站建设企业咨询内容有哪些
  • 网站调用网页内容石家庄做网站哪家好
  • 旅游网站制作教程做一家开发网站的公司
  • 河南制作网站电话什么软件可以建设网站
  • 怎么填充网站内容wordpress 关键词关联
  • 100W QPS:亿级用户的社交关系如何设计?
  • 招网站开发人员汽车网站方案
  • 网站制作基本步骤千与千寻网页设计代码
  • 石家庄住房城乡建设厅网站卡易售网站建设
  • 坤驰科技携数据采集解决方案,亮相中国光纤传感大会
  • 新手入门需要掌握多少种大模型才行
  • docker容器怎么查看最后一些行日志
  • 建设银行网站短信错误6次智能网站建设
  • MAC idea 环境变量设置失效
  • Docker 配置问题
  • 自己做的网站实现扫码跳转建设小说网站的系统有哪些
  • 有了空间怎么做网站广州免费制作网站软件
  • 织梦模板怎么验证网站wordpress重装php